Skip to content Skip to footer

Calibre nmDRC is continually evolving to meet the demands of shrinking geometries and complex manufacturing methodologies. When designers need new ways to assess the quality of their designs in light of more complex process constraints and larger process variations, Calibre nmDRC delivers the new capabilities and enhanced performance that provide comprehensive analysis capabilities while minimizing cycle time. With Calibre nmDRC, designers can confidently manage physical verification for every design, at every node.

Product LinkCalibre nmDRCFace SheetPDFShare

Features and Benefits:

  • Used by every major foundry for process development and validation
  • Fast, scalable, flexible resource usage
  • Direct access to Milkyway, LEF/DEF, OpenAccess, OASIS and GDSII design databases
  • Calibre eqDRC provides precise characterizations and simplifies debugging of complex multi-variate design issues
  • Calibre Fast XOR enables fast, accurate LVL comparisons
  • Comprehensive fill support, including fill back annotation to Milkyway, LEF/DEF, OpenAccess, OASIS and GDSII design databases.
  • Pattern matching support
  • Double patterning layout analysis support
  • Comprehensive, accurate and proven signoff physical verification for advanced technology nodes and designs at all major foundries
  • Industry-leading speed minimizes runtime and resource usage
  • Enhanced DRC debugging provides the fastest results with the highest accuracy