Skip to content Skip to footer

Siemens Aprisa is a detail-route-centric physical design platform for the modern SoC. It offers complete functionality for both top-level hierarchical design and block-level physical implementation for complex digital IC design projects.
Overall, Siemens Aprisa is a powerful and comprehensive physical design platform that can help designers to achieve their goals of faster time to tapeout, improved PPA, and reduced ECO cycles.

AprisaFace SheetShare

Features and Benefits:

  • Detail-route-centric architecture: This architecture enables fast design closure and optimal quality of results at a competitive runtime.
  • Hierarchical database: The hierarchical database allows for efficient management of large and complex designs.
  • Automated metal ECO: This feature helps to reduce ECO cycles and improve time to tapeout.
  • Patented technology: Aprisa includes patented technology that helps to improve performance, power, and area (PPA).
  • Best-in-class support: Siemens provides best-in-class support for Aprisa, including online training, documentation, and customer support.
  • Faster time to tapeout: Aprisa’s detail-route-centric architecture and hierarchical database help to accelerate the physical design process, leading to faster time to tapeout.
  • Improved PPA: Aprisa’s patented technology helps to improve the performance, power, and area of designs.
  • Reduced ECO cycles: Aprisa’s automated metal ECO feature helps to reduce ECO cycles, which can save time and money.
  • Best-in-class support: Siemens provides best-in-class support for Aprisa, which can help users to get the most out of the tool.

Leave a comment